Jak Začít?

Máš v počítači zápisky z přednášek
nebo jiné materiály ze školy?

Nahraj je na studentino.cz a získej
4 Kč za každý materiál
a 50 Kč za registraci!




bpc-los_05 - VHDL

PDF
Stáhnout kompletní materiál zdarma (354.08 kB)

Níže je uveden pouze náhled materiálu. Kliknutím na tlačítko 'Stáhnout soubor' stáhnete kompletní formátovaný materiál ve formátu PDF.

WITH sel SELECT output <= a WHEN "000" TO "011",
b WHEN "101" | "111",
c WHEN "100",
d WHEN OTHERS;

Příklad 1: Výběrové přiřazení

Příklad:

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

ENTITY mux IS PORT(a, b, c, d: IN  std_logic;
sel:        IN  std_logic_vector(2 DOWNTO 0);
output:     OUT std_logic);
END mux;

ARCHITECTURE Behavioral OF mux IS
BEGIN

END Behavioral;

Příklad 1: Výběrové přiřazení

WITH sel SELECT output <= a WHEN "000" TO "011",
b WHEN "101" | "111",
c WHEN "100",
d WHEN OTHERS;

Příklad:

Příklad 1: Výběrové přiřazení

WITH sel SELECT output <= a WHEN "000" TO "011",
b WHEN "101" | "111",
c WHEN "100",
d WHEN OTHERS;

mux

000
001
010
011
100
101
110
111

sel[2:0]

output

a

c

d
b

Příklad 2: Výběrové přiřazení

mux

000
001
010
011
100
101
110
111

sel[2:0]

output[3:0]

a[3:0]

c[3:0]

d[3:0]
b[3:0]

Skupinový multiplexor:

WITH sel SELECT output <= a WHEN "000" TO "011",
b WHEN "101" | "111",
c WHEN "100",
d WHEN OTHERS;

ENTITY mux IS PORT(a, b, c, d: IN  std_logic;
sel:        IN  std_logic_vector(2 DOWNTO 0);
output:     OUT std_logic);
END mux;

Příklad 2: Výběrové přiřazení

Příklad:

WITH sel SELECT output <= a WHEN "000" TO "011",
b WHEN "101" | "111",
c WHEN "100",
d WHEN OTHERS;

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

ARCHITECTURE Behavioral OF mux IS
BEGIN

END Behavioral;

ENTITY mux IS PORT(a, b, c, d: IN  std_logic_vector(3 DOWNTO 0);
sel:        IN  std_logic_vector(2 DOWNTO 0);
output:     OUT std_logic_vector(3 DOWNTO 0));
END mux;

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

ARCHITECTURE Behavioral OF mux IS
BEGIN

END Behavioral;

Příklad 2: Výběrové přiřazení

Příklad:

WITH sel SELECT output <= a WHEN "000" TO "011",
b WHEN "101" | "111",
c WHEN "100",
d WHEN OTHERS;

input[3:0] code[1:0] valid

"1---"

"11"

1

"01--"

"10"

1

"001-"

"01"

1

"0001"

"00"

1

"0000"

"00"

0

Navrhněte kombinační obvod realizující prioritní enkodér 4 na 2, 
mající i výstup signalizující správnost vstupní kombinace dle následují 
pravdivostní tabulky:

Příklad 3: Prioritní enkodér

input[3:0] code[1:0] valid

"1---"

"11"

1

"01--"

"10"

1

"001-"

"01"

1

"0001"

"00"

1

"0000"

"00"

0

Příklad 3: Prioritní enkodér

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

ENTITY pri_encoder_4to2 IS PORT(input: IN  std_logic_vector(3 DOWNTO 0);
code: OUT std_logic_vector(1 DOWNTO 0);
valid: OUT std_logic);
END pri_encoder_4to2;

ARCHITECTURE Behavioral OF pri_encoder_4to2 IS
BEGIN

Témata, do kterých materiál patří