Jak Začít?

Máš v počítači zápisky z přednášek
nebo jiné materiály ze školy?

Nahraj je na studentino.cz a získej
4 Kč za každý materiál
a 50 Kč za registraci!




bpc-los_11 - Speciální čítače, KSA

PDF
Stáhnout kompletní materiál zdarma (712.34 kB)

Níže je uveden pouze náhled materiálu. Kliknutím na tlačítko 'Stáhnout soubor' stáhnete kompletní formátovaný materiál ve formátu PDF.

Kombinační

obvod č. 1 

Klopné

obvody

Kombinační

obvod č. 2 

X

Q

Y

Moore KSA:

S1

S0

S2

S3

O0

O1

O2

O3

I1

I0+I1+I2 

I0

I2

I0+I2 

I1

I1

I0+I2 

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

TYPE TInput IS (I0, I1, I2);
TYPE TOutput IS (O0, O1, O2, O3);

ENTITY Moore IS
PORT
(X     : IN TInput;
Y       : OUT TOutput;
CLK, CLR : IN  std_logic
);
END Moore;

ARCHITECTURE Behavioral OF Moore IS TYPE TState IS (S0, S1, S2, S3);
SIGNAL Q, next_Q: TState := S0;
BEGIN
PROCESS(Q, X)     -- Kombinační obvod č.1 (vstup)
END PROCESS;

PROCESS(CLK, CLR) -- Paměťová (registrová) část
END PROCESS;

PROCESS(Q)        -- Kombinační obvod č.2 (výstup)
END PROCESS;
END Behavioral;

Kombinační

obvod č. 1 

Klopné

obvody

Kombinační

obvod č. 2 

X

Q

Y

Moore KSA:

S1

S0

S2

S3

O0

O1

O2

O3

I1

I0+I1+I2 

I0

I2

I0+I2 

I1

I1

I0+I2 

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

TYPE TInput IS (I0, I1, I2);
TYPE TOutput IS (O0, O1, O2, O3);

ENTITY Moore IS
PORT
(X     : IN TInput;
Y       : OUT TOutput;
CLK, CLR : IN  std_logic
);
END Moore;

ARCHITECTURE Behavioral OF Moore IS TYPE TState IS (S0, S1, S2, S3);
SIGNAL Q, next_Q: TState := S0;
BEGIN
PROCESS(Q, X)     -- Kombinační obvod č.1 (vstup)
END PROCESS;

PROCESS(CLK, CLR) -- Paměťová (registrová) část
END PROCESS;

PROCESS(Q)        -- Kombinační obvod č.2 (výstup)
END PROCESS;
END Behavioral;

Kombinační

obvod č. 1 

Klopné

obvody

Kombinační

obvod č. 2 

X

Q

Y

Moore KSA:

S1

S0

S2

S3

O0

O1

O2

O3

I1

I0+I1+I2 

I0

I2

I0+I2 

I1

I1

I0+I2 

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

TYPE TInput IS (I0, I1, I2);
TYPE TOutput IS (O0, O1, O2, O3);

ENTITY Moore IS
PORT
(X     : IN TInput;
Y       : OUT TOutput;
CLK, CLR : IN  std_logic
);
END Moore;

ARCHITECTURE Behavioral OF Moore IS TYPE TState IS (S0, S1, S2, S3);
SIGNAL Q, next_Q: TState := S0;
BEGIN
PROCESS(Q, X)     -- Kombinační obvod č.1 (vstup)
END PROCESS;

PROCESS(CLK, CLR) -- Paměťová (registrová) část
END PROCESS;

PROCESS(Q)        -- Kombinační obvod č.2 (výstup)
END PROCESS;
END Behavioral;

Kombinační

obvod č. 1 

Klopné

obvody

Kombinační

obvod č. 2 

X

Q

Y

Moore KSA:

S1

S0

S2

S3

O0

O1

O2

O3

I1

I0+I1+I2 

I0

I2

I0+I2 

I1

I1

I0+I2 

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

TYPE TInput IS (I0, I1, I2);
TYPE TOutput IS (O0, O1, O2, O3);

ENTITY Moore IS
PORT
(X     : IN TInput;
Y       : OUT TOutput;
CLK, CLR : IN  std_logic
);
END Moore;

ARCHITECTURE Behavioral OF Moore IS TYPE TState IS (S0, S1, S2, S3);
SIGNAL Q, next_Q: TState := S0;
BEGIN
PROCESS(Q, X)     -- Kombinační obvod č.1 (vstup)
END PROCESS;

Témata, do kterých materiál patří