Jak Začít?

Máš v počítači zápisky z přednášek
nebo jiné materiály ze školy?

Nahraj je na studentino.cz a získej
4 Kč za každý materiál
a 50 Kč za registraci!




bpc-los_06 - VHDL-strukturální popis, generic

PDF
Stáhnout kompletní materiál zdarma (284.4 kB)

Níže je uveden pouze náhled materiálu. Kliknutím na tlačítko 'Stáhnout soubor' stáhnete kompletní formátovaný materiál ve formátu PDF.

=1

o

I1

I2

&

o

I1
I2

-- Popis architektury half_adder
ARCHITECTURE Structural OF half_adder IS

COMPONENT xor_gate IS         -- Deklarace komponenty xor_gate
PORT (i1, i2: IN  std_logic;
o:      OUT std_logic
);
END COMPONENT;

COMPONENT and_gate IS         -- Deklarace komponenty and_gate
PORT (i1, i2: IN  std_logic;
 o:      OUT std_logic
);
END COMPONENT;

-- Popis architektury half_adder
ARCHITECTURE Structural OF half_adder IS

COMPONENT xor_gate IS         -- Deklarace komponenty xor_gate
PORT (i1, i2: IN  std_logic;
o:      OUT std_logic
);
END COMPONENT;

COMPONENT and_gate IS         -- Deklarace komponenty and_gate
PORT (i1, i2: IN  std_logic;
 o:      OUT std_logic
);
END COMPONENT;

BEGIN g1: xor_gate PORT MAP (i1 => a, i2 => b, o => sum); --Přiř. vyjm.
g2: and_gate PORT MAP (a, b, cout); -- Přiřazení poziční 
END Structural;

-- Deklarace entity half_adder
ENTITY half_adder IS
PORT
(a, b:      IN  std_logic; -- Datové vstupy
sum, cout: OUT std_logic  -- Datové výstupy
);
END ENTITY half_adder;

&

o

I1
I2

=1

o

I1

I2

-- Popis architektury half_adder
ARCHITECTURE Structural OF half_adder IS

COMPONENT xor_gate IS         -- Deklarace komponenty xor_gate
PORT (i1, i2: IN  std_logic;
o:      OUT std_logic
);
END COMPONENT;

COMPONENT and_gate IS         -- Deklarace komponenty and_gate
PORT (i1, i2: IN  std_logic;
 o:      OUT std_logic
);
END COMPONENT;

BEGIN g1: xor_gate PORT MAP (i1 => a, i2 => b, o => sum); --Přiř. vyjm.
g2: and_gate PORT MAP (a, b, cout); -- Přiřazení poziční 
END Structural;

-- Deklarace entity half_adder
ENTITY half_adder IS
PORT
(a, b:      IN  std_logic; -- Datové vstupy
sum, cout: OUT std_logic  -- Datové výstupy
);
END ENTITY half_adder;

a

b

sum

cout

&

o

I1
I2

=1

o

I1

I2

Strukturální styl popisu (shrnutí)

• Komponenty představují deklarace již existujících 

entit (definovaných i v jiných zdrojových souborech).

• Komponenty deklarujeme v deklarační části 

architektury, ve které chceme komponentu vložit jako 
instanci (component instantiation).

• V těle architektury lze komponentu vložit 

(instanciovat) pomocí názvu a použitím příkazů PORT 
MAP
 připojit na její vstupy a výstupy existující signály.

• Připojení je možné provést buď přiřazením s 

vyjmenováním nebo pozičním přiřazením.

• Vložení komponenty musí být opatřeno návěštím.

Volba architektury komponenty

 ve strukturální styl popisu

Volba architektury komponenty

 ve strukturální styl popisu

Témata, do kterých materiál patří