Jak Začít?

Máš v počítači zápisky z přednášek
nebo jiné materiály ze školy?

Nahraj je na studentino.cz a získej
4 Kč za každý materiál
a 50 Kč za registraci!




bpc-los_09 - Posuv. registry, VHDL-implementace sekv. obvodů

PDF
Stáhnout kompletní materiál zdarma (737.75 kB)

Níže je uveden pouze náhled materiálu. Kliknutím na tlačítko 'Stáhnout soubor' stáhnete kompletní formátovaný materiál ve formátu PDF.

D

Q

Q

C

AR

D

Q

Q

C

AR

D

Q

Q

C

AR

shiftClk

shiftARst

shiftD

shiftQ

Shift_register

Příklad, vložení komponenty

ENTITY Shift_register IS PORT(
 shiftD, shiftClk, shiftARst: IN std_logic;
 shiftQ:           OUT std_logic;
);
END Shift_register;

Příklad, přímé vložení komponenty

ENTITY Shift_register IS PORT(
 shiftD, shiftClk, shiftARst: IN std_logic;
 shiftQ:           OUT std_logic;
);
END Shift_register;

D

Q

Q

C

AR

D

Q

Q

C

AR

D

Q

Q

C

AR

shiftClk

shiftARst

shiftD

shiftQ

Shift_register

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

Příklad, přímé vložení komponenty

ENTITY Shift_register IS PORT(
 shiftD, shiftClk, shiftARst: IN std_logic;
 shiftQ:           OUT std_logic;
);
END Shift_register;

D

Q

Q

C

AR

D

Q

Q

C

AR

D

Q

Q

C

AR

shiftClk

shiftARst

shiftD

shiftQ

Shift_register

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

  COMPONENT register_d_arst IS PORT(
d, clk, arst: IN std_logic;
q, nq: OUT std_logic;
);
END COMPONENT register_d_arst;
BEGIN reg0: register_d_arst PORT MAP
  (d => shiftD, clk => shiftClk, arst => shiftARst, q => data(0), nq => OPEN);
reg1: register_d_arst PORT MAP (data(0), shiftClk, shiftARst, data(1), OPEN);
reg2: register_d_arst PORT MAP (data(1), shiftClk, shiftARst, data(2), OPEN);

shiftQ <= data(2);
END Structural;

Příklad, přímé vložení komponenty

ENTITY Shift_register IS PORT(
 shiftD, shiftClk, shiftARst: IN std_logic;
 shiftQ:           OUT std_logic;
);
END Shift_register;

ARCHITECTURE Structural OF Shift_register IS SIGNAL data: std_logic_vector(2 DOWNTO 0);

D

Q

Q

C

AR

D

Q

Q

C

AR

D

Q

Q

C

AR

shiftClk

shiftARst

shiftD

shiftQ

Shift_register

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

Příklad, přímé vložení entity

ENTITY Shift_register IS PORT(
 shiftD, shiftClk, shiftARst: IN std_logic;
 shiftQ:           OUT std_logic;
);
END Shift_register;

ARCHITECTURE Structural OF Shift_register IS SIGNAL data: std_logic_vector(2 DOWNTO 0);

D

Q

Q

C

AR

D

Q

Q

C

AR

D

Q

Q

C

AR

shiftClk

shiftARst

shiftD

shiftQ

Shift_register

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

BEGIN  reg0: ENTITY work.register_d_arst PORT MAP
   (d => shiftD, clk => shiftClk, arst => shiftARst, q => data(0), nq => OPEN);
  reg1: ENTITY work.register_d_arst PORT MAP
   (data(0), shiftClk, shiftARst, data(1), OPEN);
 reg2: ENTITY work.register_d_arst PORT MAP
   (data(1), shiftClk, shiftARst, data(2), OPEN);

  shiftQ <= data(2);
END Structural;

Příklad, přímé vložení entity

ENTITY Shift_register IS PORT(
 shiftD, shiftClk, shiftARst: IN std_logic;
 shiftQ:           OUT std_logic;
);
END Shift_register;

ARCHITECTURE Structural OF Shift_register IS SIGNAL data: std_logic_vector(2 DOWNTO 0);

D

Q

Q

Témata, do kterých materiál patří