Jak Začít?

Máš v počítači zápisky z přednášek
nebo jiné materiály ze školy?

Nahraj je na studentino.cz a získej
4 Kč za každý materiál
a 50 Kč za registraci!




bpc-los_08 - Metastabilita, VHDL-popis sekv. obvodů

PDF
Stáhnout kompletní materiál zdarma (495.58 kB)

Níže je uveden pouze náhled materiálu. Kliknutím na tlačítko 'Stáhnout soubor' stáhnete kompletní formátovaný materiál ve formátu PDF.

• reálné provedení vyžaduje kromě vstupu T další 

vstupní signály (alespoň Reset).

T

Qt

Qt

0

Qt-1 Qt-1

1

Qt-1 Qt-1

T

C

S

R

RS

Q

Q

C

&

&

C

Jazyk VHDL - seznam klíč. slov

architecture

access after alias all and

abs

array assert attribute

begin block body buffer bus
case component configuration constant

disconnect downto

else elsif end entity exit
file for function
generate generic group guarded
if impure in inertial inout islabel library linkage literal loop

map mod

nand new next

not null

of on open or others outpackage port postponed procedure process pure
range record register reject rem report return rol ror
select 
severity shared signal sla sll sra srl subtype

then to transport type

unaffected units until use
variable

wait when while with

xnor xor

nor

Metastabilita v synchronních 

klopných obvodech

D

Q

Q

C

C

D

Q

Q

0

X

Q

Q

1

0

0

1

1

1

1

0

C

Změní-li se v těsném okolí sestupné hrany hodinového signálu C hodnota datového 
vstupu D, přejde dosud aktivní vstup klopného obvodu do neaktivního stavu a na 
druhém vstupu se objeví krátký aktivní impuls, který může klopný obvod překlopit, 
popř. může způsobit vznik 

metastabilního stavu.

C

D

Q

Okamžik zachycení

t

Synchronní klopné obvody  

a metastabilita

&

&

D

Q

Q

&

&

C

1

Změní-li se v těsném okolí sestupné hrany hodinového signálu C hodnota 
datového vstupu D, přejde dosud aktivní vstup klopného obvodu do neaktivního 
stavu a na druhém vstupu se objeví krátký aktivní impuls, který může klopný 
obvod překlopit, popř. může způsobit vznik 

metastabilního stavu.

Synchronní klopné obvody  

a metastabilita

C

D

Q

Okamžik zachycení

t

Doba po kterou musí signál D zůstat neměnný, je určena tzv. dobou předstihu 
(setup) a přesahu (hold). Doby jsou přibližně srovnatelné s dobou zpoždění 
obvodu. Nejčastěji: Tsetup > Thold. Platí pro hladinové i hranové klopné obvody!!!

Změní-li se v těsném okolí sestupné hrany hodinového signálu C hodnota 
datového vstupu D, přejde dosud aktivní vstup klopného obvodu do neaktivního 
stavu a na druhém vstupu se objeví krátký aktivní impuls, který může klopný 
obvod překlopit, popř. může způsobit vznik 

metastabilního stavu.

Synchronní klopné obvody  

a metastabilita

C

D

Tsetup Thold

Data se 
nesmí měnit

t

C

D

Q

Okamžik zachycení

t

Popis sekvenčních obvodů v 

jazyce VHDL

Témata, do kterých materiál patří